您的位置 首页 > 半导体

半导体设备行业专题研究:半导体设备国产化现状分析

 

(报告出品方/作者:中信证券,徐涛、王子源)

1. 中国大陆晶圆厂扩产拉动各类半导体设备需求

设备类型:薄膜沉积、刻蚀、过程控制等设备均为百亿美金级别市场

晶圆厂内半导体设备按照类型可大致分为薄膜沉积、光刻、刻蚀、 过程控制、自动化制造和控制、清洗、涂布显影、去胶、化学机 械研磨(CMP)、快速热处理/氧化扩散、离子注入、其他晶圆级 设备等类别,其中薄膜沉积、光刻、刻蚀、过程控制占比最大。

从晶圆厂内各工艺环节来看,薄膜沉积、光刻、刻蚀设备是产线 中总价值量最高的三类半导体设备,2021年均占全球半导体设备 市场的20%以上。

中国大陆产线进展:行业增速44%,晶圆厂扩产拉动各类半导体设备需求

在国内晶圆厂扩产驱动下,半导体设备需求持续拉 升。据SEMI数据,2021年全球半导体设备市场同比 增加44%达到1026亿美元的历史新高,SEMI预计到 2022年将扩大到1140亿美元。 2021年中国大陆半导体设备市场销售额增长58%,达 到296亿美元,占全球市场约28.9%,再次成为半导 体设备的最大市场,这也是中国市场连续第四年增 长。 由于晶圆厂扩产加速,国内市场增速显著高于全球。 我们预计2023年中芯国际、长鑫存储、华虹集团、 长江存储等国内主流晶圆厂均为扩产主力,多个新 厂区项目将继续拉动国内设备市场需求。

国内主要下游晶圆厂扩产进展更新

我们预计2023年中芯国际、合肥长鑫、 华虹集团、长江存储均为扩产主力。 长江存储:3D NAND Flash存储器国内 龙头IDM,三期总产能规划30万片/月。 中芯国际:中国大陆晶圆代工龙头,深 圳、北京、上海临港项目依次扩产,已 披露产能规划有望新增约40万片/月。 华虹无锡:12英寸成熟制程头部厂商, 2022年持续扩产,新项目有望上马,有 望扩张10万片以上月产能。 华力集成:12英寸先进制程头部厂商, 后续有望新建Fab 8,新增约4万片/月 先进制程产能。 长鑫存储:DRAM存储器国内龙头IDM, 三期总产能规划37.5万片/月。

未来中国大陆晶圆厂产能扩张统计

中国大陆晶圆厂现有计划未来新增产能235万片/月(等效12英寸),总投资额超过1500亿美元,对应平均每1万片/月 产能投资额约6.5亿美元。其中前道设备投资大致占50%~60%。

未来资本开支及对应设备国内市场空间测算

国内半导体设备厂商主要面向国内客户为主,考虑国内市场: 8英寸2021年产能101.85万片/月,已公开的扩产计划达成后达到114.3万片/月,扩产规模已相对有限。12英寸2021年产能114.7万片/月,已公开的扩产计划达成后达到349万片/月,增长204.3%,假设5~6年内陆续实施, CAGR=20%~25%。新增投资额对应1524.5亿美元,假设其中80%用于设备投资,对应1220亿美元,按照5年均摊到每 年244亿美元设备采购额。

2. 国产化趋势:美日设备占比最高,国产占比呈现显著上升趋势

美日欧厂商在半导体设备领域具备传统优势

从行业格局来看,美日欧厂商在半导体设备领域具备传统优势,占据半导体设备全球前15名席位。国际主流厂商中 ,应用材料、泛林、东京电子在薄膜沉积、刻蚀领域具备领先地位,科天在过程控制(检测、量测)设备处于领导 地位,均稳居全球前五位置。据我们测算,2021年中国大陆厂商营收在全球市场占比约2.5%。

长江存储:美日占比最高,中国大陆占比持续提升

从近五年长江存储招投标项目累计数量(按供应商总部国家/地区 统计)来看,美国、日本厂商中标项目数量占比分别达43%、 30%,反映出两地区厂商仍占据主流地位。五年累计招标中,中国 大陆厂商中标项目数量占比15%。

分年度看,2021年长江存储415项设备招标中,中国大陆厂商中标项 目数量占比22.2%,过去几年呈现逐渐上升趋势,相应美国厂商中标 项目数量占比呈现下降趋势。

华力集成:美日占比最高,中国大陆2021年占比达24%

从近六年华力集成招投标项目累计数量(按供应商总部国家/地区 统计)来看,美国、日本厂商中标项目数量占比分别达41%、 22%,反映出两地区厂商仍占据主流地位。六年累计招标中,中国 大陆厂商设备中标项目数量占比19%。

分年度看, 2020年华力集成164项设备招标中,中国大陆厂商中标 项目数量占比27.9%;2021年华力集成38项设备招标中,中国大陆厂 商中标项目数量占比23.7%;2022年(截至7月4日)华力集成5项设 备招标中,中国大陆厂商中标项目数量占比20%,稳定在两成左右。

华虹无锡:美日占比最高,中国大陆近两年占比达23%

分年度看,2020年华力集成166项设备招标中,中国大陆厂商中标项 目数量占比23.5%;2021年华力集成160项设备招标中,中国大陆厂 商中标项目数量占比21.3%;2022年(截至7月4日)华力集成128项 设备招标中,中国大陆厂商中标项目数量占比22.7%,近两年国产厂 商占比呈现上升趋势。

从近四年华虹无锡招投标项目累计数量(按供应商总部国家/地区 统计)来看,美国、日本厂商中标项目数量占比分别达35%、 27%,反映出两地区厂商仍占据主流地位,中国大陆厂商设备中标 项目数量占比21%。

3. 设备厂商现状:优秀国产厂商涌现,国产替代有望加快

国内在半导体设备各细分领域涌现出一批代表性公司

由于半导体设备种类繁多,制造原理各异,在各细分 领域中已形成具备一定规模和国内替代技术实力的国 产细分龙头厂商,但与海外厂商相比技术实力与收入 体量相差仍大。国内厂商中,北方华创、中微公司、 盛美上海等厂商已横向实现平台化布局,值得重点关 注。

北方华创为国内规模最大、产品覆盖最广的半导体设 备公司,在氧化扩散/热处理、PVD设备具备较强的产 品竞争力,硅刻蚀和金属刻蚀、清洗机亦导入长江存 储。

中微公司为国内半导体设备技术领先龙头,在集成电 路制造使用的刻蚀设备以及LED外延片生长使用的 MOCVD设备领域技术领先,在长江存储介质刻蚀份 额已达到30%左右水平,已横向拓展化学气相沉积和 量测设备等市场。

盛美上海在清洗设备方面通过自研技术解决了兆声波 清洗的缺点,与国际龙头差异化竞争,争夺高端市场 ,同时横向拓展电镀、立式炉,以及先进封装所用的 刻蚀、涂胶显影、抛光、去胶等设备。

拓荆科技、华海清科、芯源微、屹唐股份、至纯科技 、精测电子、中科飞测、烁科中科信、华峰测控、长 川科技等公司在国内细分领域领先。

长江存储中标供应商中: 北方华创、屹唐股份、中微公司、盛美上海位列国产供应商前列

长江存储:中标供应商中,美国厂商(泛林、应用材 料、科天、Onto、泰瑞达等)、日本厂商(东京电子、 国际电气、迪恩士、爱德万等)仍是采购主流。 国内厂商方面 , 中 国 国 际 招 标 网 数 据 显 示 , 2017~2021年间 北方华创在长江存储共中标56次、143台设备; 屹唐股份同期在长江存储共中标48次、102台设 备; 中微公司同期在长江存储共中标37、59台设备; 盛美上海同期在长江存储共中标29次、35台设 备。

华力集成/华虹无锡: 中标供应商中,盛美上海、北方华创等位列国产供应商前列

华力集成:2016~2022年间盛美上海在华力集成共中标17次、21 台设备,北方华创同期在华力集成共中标11次、22台设备,屹唐 股份同期在华力集成共中标10次、12台设备,上海天隽机电设备 有限公司同期在华力集成共中标9次、42台设备(均为研磨液供 应设备),广立微同期在华力集成共中标8次、14台设备(均为 EDA软件或晶圆电性测试仪),中微公司同期在华力集成共中标 7次、15台设备。

华虹无锡:2018~2022年间盛美上海在华虹无锡共中标22次、23 台设备,北方华创同期在华虹无锡共中标18次、25台设备,中微 公司同期在华虹无锡共中标11次、14台设备,华海清科同期在华 虹无锡共中标11次、13台设备,屹唐股份同期在华虹无锡共中标 11次、25台设备,拓荆科技同期在华虹无锡共中标7次、7台设备。

氧化扩散/热处理设备:国产化率28%,北方华创优势较为明显

华力集成:东京电子、应用材料等企业领先,北方华创、 屹唐股份、盛美上海亦获得采购。 其中北方华创共计中标4台,中标产品涵盖退火、合金、 氧化炉设备;屹唐股份(Mattson)中标2台,为快速热退 火/快速热氧化设备;盛美上海中标1台,为低压高温氧化 炉设备。

华虹无锡:东京电子获采购最多,国产厂商包括北方华 创、屹唐股份、上海微电子等。 其中,北方华创中标11台设备,包括合金退火炉、真空 烘烤炉等;屹唐股份中标4台,为快速热退火设备;上 海微电子中标4台,为背面激光退火设备。

清洗设备: 国产化率38%,盛美上海中标设备数量国产最多,仅次于日本迪恩士

长江存储:盛美上海中标设备数仅次于日本厂商迪恩士, 国产中标厂商还包括芯矽科技、北方华创、屹唐股份。其中盛美上海共中标35台,中标产品主要包括各类型单 片式清洗机;芯矽科技共计中标5台,中标产品为零部件 清洗机;北方华创共中标2台制程挡控片蚀刻回收清洗机; 屹唐股份于2021年中标2台清洗设备。

华力集成:盛美上海中标仅次于日本迪恩士,北方华创、 芯源微亦获得采购。 其中盛美上海共计中标19台,中标产品涵盖前段、后段 工艺的清洗设备。北方华创中标13台,均为部件清洗设 备;芯源微中标3台,为刷片清洗设备。

4. 总结:坚定看好设备国产替代趋势

国产化率计算:长江存储、华力集成、华虹无锡设备国产化率

国产化率计算:长江存储、华力集成、华虹无锡设备国产化率(按照设备台数占比,下同)分别为17.9%、12.9%、18%。从各类型设备来看,去胶、清洗、氧化扩散/热处理、刻蚀、化学机械抛光领域国产化率均可达到20%以上,而薄膜沉积、 过程控制、离子注入、光刻、涂胶显影设备国产化率尚低。

长江存储在设备国产化方面较为积极,总体国产化率高于另两家晶圆厂

三座晶圆厂横向对比来看,长江存储在设备国产化方面较为积极,总体国产化率高于另两家晶圆厂。这可能是由于长江储生产存储芯片,为IDM模式(设计、制造一体),设备选择自主性相对高于晶圆厂代工厂(代工厂或需考虑设计客户接受 情况)。 华虹无锡与华力集成同属于华虹集团,而华虹无锡各类型设备国产化率基本均高于华力集成(个别如氧化扩散/热处理、离 子注入除外,但相差不大),这可能是由于华虹无锡主要制程在90nm~55nm,属于成熟制程,华力集成主要为28~14nm, 相对于华虹无锡而言属于较先进制程,成熟制程在设备国产化率方面相对更高。

报告节选:

(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)

精选报告来源:【未来智库】。

举报/反馈