您的位置 首页 > 半导体

半导体设备及材料行业深度研究报告:国产加速(上)

 

原标题:半导体设备及材料行业深度研究报告:国产加速(上)

来源|国盛证券,郑震湘、佘凌星、刘嘉元;编审|有料先生

专业科技服务,就找DT新材料!

一、半导体设备:大陆需求快速增长,国产替代加速

1.1 全球设备市场创新高,受益于资本开支提升、制程节点进步

2021 年全球半导体设备市场规模创 1026 亿美元新高,大陆首次占比全球第一。根据 SEMI,2021 年半导体设备销售额 1026 亿美元,同比激增 44%,全年销售额创历史新 高。大陆设备市场在 2013 年之前占全球比重为 10%以内,2014~2017 年提升至 10~20%,2018 年之后保持在 20%以上,份额呈逐年上行趋势。2020-2021 年,国内 晶圆厂投建、半导体行业加大投入,大陆半导体设备市场规模首次在市场全球排首位, 2021达到 296.2亿美元,同比增长 58%,占比 28.9%。展望 2022年,存储需求复苏, 韩国预计将领跑全球,但大陆设备市场规模有望保持较高比重。

北美半导体设备厂商月销售额 2021 年以来稳站 30 亿+美金。通过复盘半导体行业景 气周期历史,我们认为北美半导体设备厂商月销售额对于全球半导体行业景气度分析具 有重要意义,北美半导体设备销售额水平通常领先全球半导体销售额一个季度。2021 年 1 月,北美半导体设备厂商月销售额首次突破了 30 亿美金关口,创历史新高,达到 了 30.4 亿美金。此后月度销售额逐季创新高,至 12 月份销售额达到 39.2 亿美金,同 比增长 46%。与此同时我们看到全球半导体销售市场自 2021 年 4 月以来连续 12 个月 同比增速超过 20%,2022 年 3 月,全球半导体销售额达到 505.8 亿美金,同比增长 23.0%,展望 2022 全年,从各机构当前预测平均值来看,预计 2022 年全球半导体市场 仍将保持 10%以上同比增长。

半导体设备行业呈现明显的周期性,受下游厂商资本开支节奏变化较为明显。2017 年, 存储厂商的大幅资本开支推动半导体设备迎来巨大需求,且这一势头一直延续到 2018 年上半年。但随后产能过剩致使存储价格走低,导致 DRAM 和 NAND 厂商纷纷推迟设备 订单。存储产能过剩一直持续到 2019 年上半年,同时上半年整体半导体行业景气度不 佳,虽然下半年随着行业景气度恢复,以台积电为代表的晶圆厂陆续调高资本开支大幅 扩产,2019 年全年半导体设备需求同比仍回落约 2%。2020 年全球各地先后受疫情影 响,但存储行业资本支出修复、先进制程投资叠加数字化、5G 带来的下游各领域强劲 需求,全年设备市场同比增长 19%。伴随半导体厂商新一轮资本开支开启,2021 年全 球设备市场继续大幅增长 44%。当前海外设备龙头应用材料、泛林集团等均预计 2022 年全球设备市场规模将进一步增长。

下游资本开支提升,半导体设备周期向上。伴随着下游资本开支提升,设备厂商营业 收入增速从 2019Q2 触底后逐渐回暖。2020Q1 由于疫情冲击,产品发货推迟,导致单 季度收入增速下调。复盘 2021 年,海外龙头全年营收高增速:2021Q1:低基数高增长,北美设备出货在 2021-01 首次单月超 30 亿美元。设备厂 商营业收入增速从 2019Q2 触底后逐渐回暖。2020Q1 由于疫情冲击,产品发货推迟, 导致单季度收入增速下调。以 ASML 为例,疫情后营收增速恢复,2021Q1 半导体设备 营收增速更是达到 95.1%,ASML 表示下游对于先进的光刻设备需求有增无减。

2021Q2:晶圆制造设备龙头展望 2022 年需求强劲,核心设备在手订单超过一年。ASML 单季度收入 40 亿欧元,新增 83 亿欧元订单(其中 EUV 为 49 亿欧元)。单季度 BB 值创 2017 年以来最高,累计在手订单 170 亿欧元,供货延期将持续到 2022H2。全 年增速指引从 30%提升到 35%。Lam Research 单季度营收入、利润率均高于预期,公 司预计 2022 年需求仍然很强劲本轮,资本密集度提升在半导体领域是全面的。KLA 订 单也已经延续至 2022 年,部分产品交付期超过 12 个月。

2021Q3:全球各环节设备均供不应求,新增订单仍然较多。全球光刻机龙头 ASML 本 季度收入 52 亿欧元,新增订单 62 亿欧元,BB 值持续高于 1,且在手订单远超一年产 值。前道工艺龙头 Lam Research 展望 2021H2 市场需求强于 2021H1,且 2022 年将延 续增长。

2021Q4:全球核心设备龙头订单整体强劲,短期收入受限于供应链制约,预计 2022 年 WFE 增长约 10~20%。其中,ASML 在 2021Q4 新增订单 71 亿欧元,BB 值 2.0, 累计订单充沛。2022Q1 收入仅为 33~35 亿欧元,预计有 20 亿欧元无法在 2022Q1 确 认收入;预计 2022 年增长 20%。Lam Research 预计 2022Q1 同比大幅增长、环比下 滑,主要受限于零部件和运输因素;预计 2022 年全球 WFE 增长至 1000 亿美元,增速 18%。TEL 预计 2022Q1 营收同比增长 16%、环比增长 1%;KLAC 预计 2022Q1 营收 22 亿美元,环比下降 9%,下降主要由于供应链限制,积压订单依然强劲。

未来两年全球晶圆厂设备开支持续增长。2020 年疫情带来的居家及远程办公带来笔电 等消费电子需求激增作为本轮周期的催化剂,2020H2 以车用芯片为代表的供应链开始 紧张,下游持续增长的需求与上游有限产能的矛盾演绎为 2021 年全年行业供需失衡加 剧。2022 年以来,消费性电子、智能手机、PC 等领域需求确有下滑,但更值得注意的 是全球正步入第四轮硅含量提升周期,服务器、汽车、工业、物联网等需求大规模提升。在 6 月台积电召开的股东大会上,公司管理层表示未来 10 年是半导体行业非常好的机 会,主要原因就是 5G 及高效能运算的普及,生活数字化转型,带来对车用(新车半导 体含量可达传统车的 10 倍)、手机、服务器等终端内半导体含量的增加,推动半导体需 求大幅成长。中芯国际在 22Q1 法说会表示,尽管消费电子,手机等存量市场进入去库 存阶段,开始软着陆,但高端物联网、电动车、绿色能源、工业等增量市场尚未建立足 够的库存,近年来硅含量提升与晶圆厂有限的产能扩充矛盾,叠加产业链转移带来的本 土化产能缺口,使得公司需要大幅扩产,推出新产品工艺平台,满足客户旺盛的增量需 求。我们认为疫情、全球经济及半导体周期性虽然会带来短期内的不确定性,但是技术 进步、硅含量提升是长期支撑半导体行业持续发展的最关键驱动力。

正因如此,2020 年开始全球领先的晶圆厂纷纷加速扩产提升资本开支,根据 IC Insights,2021 年全球半导体资本开支增速达到 36%,预计 2022 年将继续增长 24%, 2020-2022 年将会成为自 1993-1995 年以来的首次 CapEx 连续三年增速超过 20%。半 导体设备作为晶圆厂扩产的重要开支部分,根据 SEMI,2021 年全球晶圆厂前道设备支 出增速达到 42%,预计 2022 年将进一步增长 18%。

台积电、中芯国际纷纷增加资本开支,CapEx 进入上行期。根据 IC Insights,全球代 工厂资本开支约占半导体总体的 35%,根据头部代工厂的资本开支规划来看,2022 年 代工领域资本开支将进一步提升。台积电从 2020 年 170 亿美金增长到 2021 年的 300 亿美金(用于 N3/N5/N7 的资本开支占 80%),公司 2021 年 4 月 1 日公布未来三年资 本开支 1000 亿美金,2022 年资本开支将进一步提升至 400-440 亿美金,预计 2023 年 资本开支仍有望超过 400 亿美金;联电 2021 年 CapEx 18 亿美金,预计 2022 年翻倍达 到 36 亿美金(其中 90%将用于 12 英寸晶圆);GlobalFoundries 于 2021 年 IPO 后资本 开支大幅提升用于扩产,公司 2020 年 CapEx 4.5 亿美金,2021 年提升至 16.6 亿美金, 预计 2022 年超过 40 亿美金;中芯国际 2021 年资本开支维持高位,达到 45 亿美金(大 部分用于扩成熟制程,尤其是 8 寸数量扩 4.5 万片/月),预计 2022 年达到 50 亿美金。

存储厂商:

三星 22Q1 资本支出为 7.9 万亿韩元,其中用于半导体的投资为 6.7 万亿韩元,用 于显示的投资为 0.7 万亿韩元。对存储的投资集中在 P3 晶圆厂的投资建设,及华 城、平泽和西安工厂的工艺转换,重点开发 5nm 以下先进工艺的制造能力。平泽 P3 晶圆厂系三星全球建设的园区最大的晶圆厂,用于生产存储和逻辑芯片,建成 后陆续将有 NAND FLASH、DRAM 芯片投产,其后也将采用 3nm 工艺为其他厂商进 行晶圆代工;

海力士 22Q1 资本支出为 4 万亿韩元,预计 2023 年资本支出将继续增长。海力士 22Q1 支出的 4 万亿韩元主要用于对大连、利川、M15 工厂的投资建设。海力士资 本开支通常集中在上半年,从而有利于推动全年位元的成长。但公司在 22Q1 法说 会上称今年由于设备交付时间的延长,公司会在全年各季度更均匀的进行资本支出。此外,Solidigm 的资本支出也将计入公司总资本开支中,故 23 年的合计资本支出 将继续增加;

美光一季度资本支出 26 亿美元,全年资本开支指引保持在 110-120 亿美元。美光 预计 22H2 与 22H1 资本支出将基本持平。此外,美光表示虽然 22Q1 资本支出受 制于设备交付周期的延长,但其对全年的供应前景仍保持信心。随着 1- alphaDRAM 和 176 层 NAND 产品在终端市场的快速增长,公司将持续加强在上述 工艺技术上的投资布局,巩固先发地位。

芯拐点、新制程、新产能推动需求。我们判断本轮反转首先来自于全球芯拐点, 行业向上;其次,先进制程带来的资本开支越来越重,7nm 投资在 100 亿美元,研发 30 亿美元;5~3nm 投资在 200 亿美元;7nm 单位面积生产成本跳升,较 14nm 直接翻 倍;并且,大陆晶圆厂投建带动更多设备投资需求。

1.2 前道设备占主要部分,测试需求高增速

半导体设备按照制造环节可以分为前道晶圆制造设备、封装设备和测试设备。

前道设备占主要部分。设备投资一般占比 70~80%,当制程到 16/14nm 时,设备投资 占比达 85%;7nm 及以下占比将更高。按工艺流程分类,典型的产线上前道、封装、 测试三类设备分别占 85%、6%、9%。

测试需求高增长。半导体设备 2013~2018 年复合增长率为 15%,前道、封装、测试设 备增速分别为 15%、11%、16%。增速最快的子项目分别为刻蚀设备(CAGR 24%) 和存储测试设备(CAGR 27%)。根据 SEMI,2021年全球半导体测试设备市场规模达到 77.9 亿美金,同比增长 29.6%,预计 2022 年市场规模进一步增长至 81.7 亿美金。

1.3 全球市场受海外厂商主导,前五大厂商市占率较高

全球设备五强占市场主导角色。全球设备竞争格局,主要前道工艺(刻蚀、沉积、涂胶、 热处理、清洗等)整合成三强 AMAT、LAM、TEL。另外,光刻机龙头 ASML 市占率 80%+;过程控制龙头 KLA 市占率 50%。根据 SEMI,ASML、AMAT、LAM Research、 TEL、KLA 五大厂商 2021 年收入合计 788 亿美元,占全球市场约 77%。

综合看下来,设备五强市场在各赛道合计市占率基本在 50%以上。AMSL 优势在光刻 方面遥遥领先;AMAT 优势在产品线广,沉积(CVD、PVD)市占率高;LAM 优势在刻 蚀领域;TEL 优势在小赛道如涂胶、去胶、热处理;KLA 优势在过程控制。

1.4 海外设备厂商在手订单饱满,供应链限制延续

在手订单依旧强劲,供应链限制延续,设备大厂积极扩产。1)供给高度紧张:ASML 22Q1 营收 yoy-19%,下滑主要系部分订单确认延迟;毛利率同比-5pt,承压主要系材 料、供应链、运输等成本上升;库存周转率降低。泛林毛利率同比-1.7pt,主要系成本 压力(原材料、物流、通胀等)。2)订单依旧强劲:ASML新增在手订单约 70亿欧元, 环比持平。KLA:当前在手订单交期总体 5~6 个月,部分产品 7~8 月。爱德万客户订单 提前量增加,由于系半导体等材料和零件短缺,交期延长。3)积极扩产:ASML 预计 2030 年产能至少翻番,2025 年年产能增加到约 90 套 0.33 孔径 EUV 和 600 套 DUV。泰 瑞达预计2023研发费用1900亿日元,yoy+20.1%;资本开支750亿日元,yoy+31.1%, 规划金额皆较往年有大幅提升。

2022 下半年展望乐观,全年需求强劲将有订单递延至明年。泛林 2022Q2 毛利率指引 中枢仍略降,持续成本和供应压力影响持续,二季度订单积压不断增加。随产能落地、 产品竞争力效益显现及部分订单延迟多数企业对 H2 展望乐观。ASML 预计 2022H2 表现 强劲,毛利率约 54%,高于全年 52%指引,主要由 EUV 和 DPV 出货及安装基础管理业 务利润率提升驱动。Q4 部分 EUV 系统收入将递延到 2023 年。泛林预计 2022 WFE 需 求将超 1000 亿美元,未满足的设备需求将递延至明年。泰瑞达积极建立库存及扩产, 预计 H2 出货有更大增量及灵活性,预计 Q2 实现增长,仅高端产品出货受限。

ASML:业绩达到预期,新增订单 70 亿欧元

Q1 营收符合预期,快速出货模式下订单延迟确认。ASML 22Q1 营收为 35 亿欧元,达 指引上限,yoy -19%,qoq -29%,净利润 6.95 亿欧元,yoy-48%,qoq-61%,营收及 净利的下降主要系快速出货模式下部分订单确认收入时间延迟到 22Q2。毛利率为 49.0%,达到预期。本季度出货 9 套 EUV 系统,并确认了 3 套 EUV 设备 5.91 亿欧元的 收入。

新增在手订单约 70 亿欧元。22Q1 公司新增在手订单约 70 亿欧元,其中逻辑占 66%, 存储占 34%。其中包含 25 亿欧元的 0.33 孔径 EUV 系统和 0.55 高孔径 EUV 系统,DPV 订单量为 45 亿欧元,反映出对先进和成熟节点的持续强劲需求。

收入构成中,从技术路线上看,ArFi 占比最高为 47%,EUV 占比 26%, KrF 占比 12%, 量测和检测占比 7%,干法 ArF 占比 6%,I-line 占比 2%。从地区来看,中国大陆占比 34%,韩国占比 29%,中国台湾地区占比 22%,日本占比 7%,美国占比 6%,亚洲其 他地区占比 1%,欧非中东合计占比 1%。从终端应用上看,逻辑与存储各占 50%。

泛林:短期供应受限影响收入,需求仍然旺盛

供应严重受限,业绩处于指引下限。供应链限制对公司的收入和盈利能力产生了负面影 响,此外,公司还面临与供应相关的通胀压力、运输物流价格上升、原材料成本以及集 成电路的成本压力。为更好应对供应链挑战,公司采取增加资源、与客户合作、新增额 外零部件供应商等措施以提高供应链灵活性。公司 22Q1 营收 40.60 亿美元,同比 +5.51%,qoq-3.95%;毛利率 44.7%,成本压力较大导致毛利波动。持续的成本和供 应限制挑战将继续影响公司对二季度业绩的预测。

预计 2022 年 WFE 需求将超 1000 亿美元,任何未满足的设备需求都将递延至明年。即使供应受限,存储、代工、逻辑需求仍然非常强劲。存储一季度营收环比增长 58%, DRAM 业务为公司带来了创纪录的收入水平;代工一季度收入环比下降,与下游客户投 资时机有关。随着公司今年在领先和成熟节点设备投资方面的进展,预计这一领域将出 现增长;逻辑一季度贡献了 13%的总营收,创下记录。刻蚀领域发展前景良好,公司 预计 2022 年这一领域将继续增长;CSBG 收入约为 14 亿美元,受到了持续的供应链约 束的负面影响,环比下降 5%,但下游需求依然强劲。公司预计 2022 年 WFE 需求将超 过 1000 亿美元,任何未满足的设备需求都将递延至明年。

展望 2022Q2 需求能见度高。尽管客户需求持续强劲,但基于持续的供应链约束,公 司预计 22Q2 收入 42 亿美元,上下浮动 3 亿美元。毛利率预计为 44.5%,上下浮动 1%。公司的指引预期反映了对通货膨胀的成本环境的预期,以及对供应链执行的持续策略管 理的需求。营业利润率预计为 29.5%,上下浮动 1%。虽然公司面对供应链不断限制产 能的挑战,但需求依然强劲。一季度结束后,公司二季度的订单积压不断增加,终端 需求的能见度很高。展望 2022 全年,随着产能的增加,预计下半年毛利率趋好。(报告来源:未来智库)

爱德万测试:2021 年业绩高增,积极筹资扩产

毛利率改善,增加研发投入并筹备资金,为未来产能和业务扩张奠定基础。2021 财年 公司毛利率为 56.6%,较 2020 年的 53.8%略有提升,主要受益于整体销售中高附加值 测试设备占所有高性能半导体的比例增加,增加了智能手机和数据中心相关设备的高端 SoC 半导体测试设备的销售额,改善产品组合。公司自 21Q2 开始对在美国的产能扩张 进行投资,并在第四季度进一步投资。

多方需求增加使公司对 2022 财年业绩持乐观态度,需求的增长主要受益于技术的增 长。SoC 测试设备的需求主要来自于半导体和相关制造工艺的复杂性增加,内存测试设 备的需求侧驱动力主要是小型化、高密度以及更高的速度和带宽等趋势数据中心对 HPC 设备的高需求方面,公司有希望增加 DRAM 测试设备的销售。由于对设备接口产品的持 续高需求,公司预计纳米技术产品的销售额也将增加,这与测试设备业务和 EUV 光刻 技术的日益普及密切相关。主要的困难仍然来自于采购半导体和其他零件,公司将与正 在开发领先半导体技术的客户合作。

KLA:把握先进封装和汽车电子机遇

公司 FY22Q3 营收 22.89 亿美元,yoy+26.88%,接近之前的业绩指引上限;净利润 7.76 亿美元,yoy+28.92%,qoq+1.95%。把握先进封装和汽车电子机遇。公司 FY22Q3 在汽车应用的晶圆检测收入方面创下有史 以来最高客户参与度。利用半导体工艺控制(SPC)和电子、封装、组件(EPC)的组 合,公司加强在先进封装和汽车电子领域的开发。公司扩大产品组合,开发一套全面的 产品和技术,包括用于先进封装市场的晶圆级封装、最终组装和测试产品,以及一套旨 在帮助客户实现其零缺陷目标的检测系统和工艺工具。该产品组合包括零缺陷项目中的 持续改进项目(CIP)设计的检查系统,如:在线模具筛选、电源设备可靠性、封装和 PCB 质量。

分业务来看,半导体工艺控制及相关服务业务 FY22Q3 营收达到 19.8 亿美元, yoy+31%,qoq-4%,符合预期,其中 63%营收来自于代工厂和逻辑客户,37%来自 于存储客户(26%来自于 DRAM,11%来自于 NAND);特种半导体工艺业务实现营收 11.7 亿美元,yoy+28%,qoq+4%;PCB、显示器和元件检测业务实现营收 1.93 亿美 元,yoy-6%,qoq+2%。

分产品来看,晶圆检测系统实现营收 9.19 亿美元,yoy+29%,qoq-17%,该部分营收 占比 40%;图案制作(包括光罩检测)收入为 6.11 亿美元,yoy+53%,qoq+20%, 营收占比 27%;特种半导体工艺收入为 1.06 亿美元,yoy+38%,qoq+1%,营收占比 5%;PCB、显示器和元件检测收入为 1.23亿美元,yoy-13%,qoq+1%,营收占比 5%;服务营收达到 4.88 亿美元,yoy+14%,qoq+7%,营收占比 21%,该部分增长超预期 主要系长期服务协议的增长、产能利用率的提高以及传统节点服务的拓展等。

业绩展望:预计下一季度总收入预计为 24.25 亿美元,上下浮动 1.25 亿美元;代工/ 逻辑预计约占半导体工艺控制系统收入的 56%,存储预计约占 44%,在存储中, DRAM 预计约占细分市场的 66%,NAND 预计约占 34%。

泰瑞达:汽车和闪存市场强劲

业绩高于指引中点,汽车和闪存市场需求强劲。22Q1 营业收入达 7.55 亿美元,yoy - 3.36%,qoq -14.65%。22Q1 毛利率为 60.2%,同比增长 1.10 pct,环比增长 0.70 pct。营收中工业自动化收入同比提升 29%。公司表示目前不断增长的汽车设备复杂性、ADAS 相关器件和电动汽车设备是 SoC 测试 的长期驱动力,未来 SoC 测试需求主要是在汽车终端市场,预计 2022 年高端市场总量 将达到 40~50 亿美元。存储器市场将维持稳定,其中 NAND 需求将增强,DRAM 需求 将持续减弱。

东京电子:营收高增,半导体市场热度不减

营收大幅提升,半导体市场热度不减。公司 FY22 总营收为 2 万亿日元,yoy+ 43.2%, 主要得益于全球经济的逐步复苏、碳中和的逐步推广以及通信技术的不断发展带来的半 导体需求提升;其中日本国内营收为 2303.7 亿日元,yoy+16.6%,占比 11.5%;海外 营收为 1.77 万亿日元,yoy+47.6%,占比 88.5%。归母净利 4370.8 亿日元, yoy+79.9%。毛利率达 45.5%,yoy+5.1pt。

分业务看:SPE半导体生产设备:在社会数字化的推动下,从尖端的半导体到成熟的几代半导体需 求强烈,资本方对 DRAM 和 NAND 闪存的投资也继续保持在高水平。FY22 SPE 部门对 外营收为 1.94 万亿日元,yoy+47.8%,占比 97%。FPD 平板显示器生产设备:随着电视用大尺寸液晶面板的资本投资已基本走到尽头, FPD TFT 阵列的整体制造设备市场开拓已经放缓。同时,中小型 OLED 面板的资本投资 仍在继续,终端产品中安装的显示器正在从 LCD 面板转换为 OLED 面板。FY22 前三季 度该部门的营收为 598.3 亿日元,同比减少 28.6%,占比 3%。

2023 展望:公司预计 FY2023 营收 2.35 万亿日元,yoy+17.3%,其中 SPE 部门营收 2.29 万亿日元,yoy+18.1%;FPD 部门营收 550 亿日元,yoy-8.1%;归母净利 5230 亿 日元,yoy+19.7%。研发费用预计 1900 亿日元,yoy+20.1%,资本开支 750 亿日元, yoy+31.1%。公司 FY2023 研发投入及资本开支规划较往年有大幅提升。

1.5 国内需求爆发,国产替代空间快速打开

国内晶圆厂投资进入高峰期。根据集微网统计,2020~2022 年国内晶圆厂总投资金额 分别约 1500/1400/1200 亿元,其中内资晶圆厂投资金额约 1000/1200/1100 亿元。2020~2022 年国内晶圆厂投资额将是历史上最高的三年,且未来还有新增项目的可能。

设备国产化率较低,海外龙头垄断性较高。我国半导体设备市场仍非常依赖进口,从 市场格局来看,细分市场均有较高集中度,主要参与厂商一般不超过 5 家,top3份额往 往高于 90%,部分设备甚至出现一家独大的情况,目前国内厂商目标市场主要是国内 晶圆厂需求,尤其是内资投建的需求。制程越先进,设备投资额占比越高。设备投资一般占比 70~80%,当制程到 16/14nm 时,设备投资占比达 85%;7nm 及以下占比将更高。光刻、刻蚀、沉积、过程控制、 热处理等均是重要投资环节。

国内国产化逐渐起航,从 0 到 1 的过程基本完成。北方华创产品布局广泛,刻蚀机、 PVD、CVD、氧化/扩散炉、退火炉、清洗机、ALD 等设备新产品市场导入节奏加快,产 品工艺覆盖率及客户渗透率进一步提高,在集成电路领域主流生产线实现批量销售,产 品加速迭代;第三代半导体、新型显示、光伏设备产品线进一步拓宽,出货量实现较快 增长。拓荆科技作为国内唯一一家产业化应用 PECVD 和 SACVD 设备的供应商,PECVD 累计发货 150 台,广泛用于中芯国际、华虹集团、长江存储、合肥长鑫、厦门联芯、燕 东微电子等国内主流晶圆厂,PEALD 已实现销售;中微公司介质刻蚀机已经打入 5nm 制程,新款用于高性能Mini-LED量产的 MOCVD设备 UniMax 2022Q1订单已超 180腔;芯源微前道涂胶显影设备在 28nm 及以上多项技术及高产能结构方面取得进展,并实现 多种核心零部件的国产替代,公司前道物理清洗设备已经达到国际先进水平并成功实现 国产替代,新签订单结构中前道产品占比大幅提升;华海清科 CMP 设备在逻辑芯片、 3D NAND、DRAM 制造等领域的工艺技术水平已分别突破至 14nm、128 层、1X/1Ynm, 到 2021 年底,公司 CMP 设备累计出货超过 140 台,未发出产品的在手订单超 70 台。Mattson(屹唐半导体)在去胶设备市占率全球第二;盛美半导体单片清洗机在海力士、 长存、SMIC 等产线量产。精测电子、上海睿励在测量领域突破国外垄断。

设备国产化率较低,国产厂商成长空间巨大。我国半导体设备市场仍非常依赖进口, 目前国内厂商目标市场主要是国内晶圆厂需求,尤其是内资投建的需求,潜在收入目标 空间较大。

1.6 2022Q1 国产设备厂商营收持续高增

2021 年及 2022Q1 设备收入、利润快速增长,国产替代持续深化。设备行业核心公 司(北方华创、芯源微、华峰测控、中微公司、新益昌、长川科技、万业企业、精测电 子、至纯科技,拓荆科技、华海清科及盛美上海由于 2020 年数据不完整未被算入) 2022Q1 营业收入总计 76.2 亿元,同比增长 55.3%;扣非归母净利润 11.4 亿元,同比 增长83.0%。设备行业持续处于高速增长,国产替代空间快速打开,国内核心设备公司 成长可期。

设备厂商在手订单充足,合同负债保持较高增速。2022Q1,设备板块主要公司合同负 债合计分别为 139.5 亿元,同比增长 76.2%,保持高增速。其中,北方华创 2022Q1 合 同负债达到 50.9 亿元。

大陆 12 寸晶圆厂建厂潮带动设备需求持续增长。生产效率及降低成本因素推动下,全 球 8 寸扩产放缓,12 寸晶圆厂扩产如火如荼。2020 年以来,国内 12 寸晶圆厂遍地开 花,除中芯国际外,闻泰、格科微、海芯等公司纷纷计划建设 12 寸晶圆厂,粤芯半导 体、华虹无锡等 12 英寸生产线陆续建成投产。根据 SEMI,2019 年至 2024 年,全球至 少新增 38 个 12 寸晶圆厂,其中中国台湾 11 个,中国大陆 8 个,到 2024 年,中国 12 寸晶圆产能将占全球约 20%。大量晶圆厂的扩建、投产,将带动对上游半导体设备的 需求提升,更有望为国产化设备打开发展空间。

二、光刻机:半导体制程工艺核心环节,将掩膜板图形缩小

光刻是将掩膜板上的图形曝光至预涂了光刻胶的晶圆表面上的过程。光刻胶(正胶) 受到照射的部分,将发生化学变化,从而易溶于显影液。瑞利公式:CD=k1*(λ/NA)。CD 为关键尺寸,为了降低 CD,有三种方式:(1)降低波 长λ;(2)提高镜头的数值孔径 NA;(3)降低综合因素 k1。生产参数:(1)分辨率:可达到最小光刻图形尺寸;(2)套准精度:图形尺寸在亚微 米数量级上,套刻误差在特征尺寸 10%;(3)产率:对给定掩膜板,每小时能曝光的 晶片数量。方案升级:接触式——接近式——步进式。光源升级:1985 年之前,以 g 线(436nm)为主,最小线宽为 1um 以上;1985 年以 后,出现少量i线(365nm)光刻机,最小线宽0.5um;1990年开始出现DUV光刻机, 最小线宽为 0.25um;踏入 21 世纪,193nm 的深紫外线开始使用。

EUV 的采用利好光刻、过程控制(ASML、KLA)。根据 ASML,45K/M 的 logic 产能, 每一层需要一台 EUV;100K/M 的 DRAM 产能,每一层需要 1.5~2 台 EUV。预估 TSMC N7 使用 7 层;N5 使用 14 层。ASML 预估 EUV 层数 10~20 层,目前工艺总层数多达 400~600 层。

光刻机发展历史,两次技术分水岭奠定格局变化。2003~2004 年为第一个分水岭:ASML 选择浸润式,Nikon 选择 157nm。2010 年为第二个分水岭:EUV 量产,差距拉大。

2020 年,全球光刻机市场约 135 亿美元,占全球半导体制造设备市场 21%。光刻机 市场一直以来在全球设备市场中的比重都较高,具有较高技术难度,并且单台设备价值 量也较高,属于半导体制造设备的皇冠。光刻机单机价值量高,每年出货数量约 300~400 台。根据 ASML、Nikon、Canon 三 家光刻机财报数据统计,近两年全球光刻机每年出货量大约在 300~400 台之间,整体 均价约 0.3 亿美元。其中主要产品是 KrF 约 90~100台,ArFi 约 90~100 台。近几年 EUV 出货量在逐步增长,全球仅有 ASML 具备供应能力,每年出货 30~50 台,均价超过 1 亿 美元。

光刻机的供给有限,前三大晶圆制造领先厂商占据大部分需求。ASML 在 2020 年一共 销售 34 台 EUV 光刻机,2021 年 EUV 光刻机的产能将增长到 45~50 台。从历史需求端 来看,全球 90%以上的 EUV 光刻机由 TSMC、Samsung、Intel 三家采购,其他诸如代 工厂 GobalFoundries、存储厂海力士、美光每年最多采购 1 台光刻机。

SML 主导全球光刻机市场。从光刻机格局来看,2020 年 ASML 占据全球光刻机市场 84%的市场空间,Nikon 约 7%,Canon 约 5%。ASML 具有高度的垄断地位,并且由于 EUV 跨越式的升级进步,ASML 在技术上的领先性更加明显。

三、刻蚀设备:等离子刻蚀复杂程度高,且步骤逐渐增加

刻蚀是用化学、物理、化学物理结合的方法有选择的去除(光刻胶)开口下方的材料。被刻蚀的材料包括硅、介质材料、金属材料、光刻胶。刻蚀是与光刻相联系的图形化处 理工艺。刻蚀就是利用光刻胶等材料作为掩蔽层,通过物理、化学方法将下层材料中没 有被上层遮蔽层材料遮蔽的地方去掉,从而在下层材料上获得与掩膜板图形对应的图形。

湿法刻蚀:用液体化学剂去除衬底表面的材料。早期普遍使用,在 3um 以后由于线宽 控制、刻蚀方向性的局限,主要用干法刻蚀。目前,湿法刻蚀仍用于特殊材料层的去除 和残留物的清洗。干法刻蚀:常用等离子体刻蚀,也称等离子体刻蚀,即把衬底暴露于气态中产生的等 离子,与暴露的表面材料发生物理反应、化学反应。刻蚀主要参数:刻蚀速率、均匀性、选择比(对不同材料的刻蚀速率比)、刻蚀坡面 (各向异性、各向同性)。

应用最广泛的刻蚀设备是 ICP 与 CCP,技术发展方向是原子层刻蚀(ALE)。电容性等离子体刻蚀 CCP:能量高、精度低,主要用于介质材料刻蚀(形成上层线路) ——诸如逻辑芯片的栅侧墙、硬掩膜刻蚀、中段的接触孔刻蚀、后端的镶嵌式和铝垫刻 蚀等,以及 3D 闪存芯片工艺(氮化硅/氧化硅)的深槽、深孔和连线接触孔的刻蚀等。电感性等离子体刻蚀 ICP:能量低、精度高,主要用于硅刻蚀和金属刻蚀(形成底层器 件)——硅浅槽隔离(STI)、锗(Ge)、多晶硅栅结构、金属栅结构、应变硅 (Strained-Si)、金属导线、金属焊垫(Pad)、镶嵌式刻蚀金属硬掩模和多重成像技术 中的多道刻蚀工艺。ALE:技术发展方向,能精确刻蚀到原子层(约 0.4nm),具有超高刻蚀选择率。应用 广泛。

光刻技术中许多先进制程涉及多重图形技术。即使是 EUV,波长为 13.5nm,要实现 7nm的精度,仍需要依靠多重图形技术,即多次刻蚀。因此制程升级,精度越高,需要 的刻蚀复杂度、步骤数量也在提升。所以刻蚀设备和化学薄膜设备成为更关键的设备。

产业发展趋势:(1)0.13um 工艺的铜互连技术出现时(300mm 时代),金属刻蚀比例下降,介质刻蚀 的比例大幅上升;(2)30nm 之后,多重图像技术、软刻蚀应用的提升,硅刻蚀(ICP)的占比快速提升。(3)数十层的金属互联层(后道工艺,BEOL),精度一般在 20nm 以上的以 CCP 为主;CMOS 核心器件(前道工艺,FEOL)线宽比较小,往往使用 20nm 以下的 ICP。(4)EUV 在 foundry/DRAM 的采用,使得刻蚀步骤减少;3D Nand 采用,使得刻蚀步 骤增多,高深宽比刻蚀需求增多。刻蚀设备市场超过 130 亿美元,是晶圆设备占比最高的市场。2011 年以来,刻蚀在晶 圆设备的占比从 11%逐渐提升到 20%以上,2017 年起成为全球晶圆设备中占比最高的 装备类别,重要性不断提升。刻蚀设备市场基本是干法刻蚀设备,2020 年全球干法刻 蚀设备市场约 137 亿美元,其中介质刻蚀(Dielectric Etch)60 亿美元,导体刻蚀 (Conductor Etch)76 亿美元。

刻蚀由海外龙头主导,国内公司保持快速增长。根据 Gartner,全球刻蚀企业前三大分 别是 Lam Research、TEL、AMAT,全球市占率合计 91%。国内刻蚀业务前三大企业分 别为中微公司、北方华创、屹唐半导体。2021 年国内的刻蚀龙头企业中微公司、北方 华创的刻蚀业务都取得较高收入增长,并在规模体量逐步接近全球前五大厂商。

从导体刻蚀市场结构看,Lam 一家独大,长期全球市占率超过 50%;其次 AMAT 占据 约 30%市场份额。剩下的厂商如日立高新、TEL、KLA、北方华创、SEMES、中微公司 等公司合计,在导体刻蚀合计市占率不超过 20%。近两年,国内设备龙头厂商北方华 创、中微公司该产品线放量加速,逐步提高半导体设备刻蚀供应链份额。

从介质刻蚀市场结构看,TEL 一家独大,长期全球市占率超过 50%;其次 Lam 占据接 近 40%的市场份额,两家厂商主导整个市场,寡占程度较强。全球介质刻蚀设备供应 商还有 SEMES、中微公司、AMAT、Ulvac、屹唐半导体等。中微公司开发了系列介质刻 蚀装备,并承担多项重大科研项目,是国内领先的介质刻蚀设备厂商。

北方华创是国内领先的半导体高端装备及一体化解决方案供应商。公司深耕于芯片制 造刻蚀领域、薄膜沉积领域近 20 年,现已成为国内领先的半导体高端工艺装备及一站 式解决方案的供应商。公司立足半导体装备、真空装备、新能源锂电装备及精密元器件 构成公司四大核心事业集群,半导体设备品类国内最为完备,客户覆盖中芯国际、华虹、 三安光电、京东方等各产业链龙头,营销服务辐射欧、美、亚等全球主要国家和地区。北方华创 ICP刻蚀机领域国内领先,金属刻蚀 8英寸打破国外垄断,12英寸突破 28nm 以下制程。北方华创 2005 年第一台 8 英寸 ICP 刻蚀机在客户端商显,12 英寸刻蚀机在 客户端 28nm 实现国产替代,2020 年 12 月,北方华创 ICP 刻蚀机交付突破 1000 腔, 标志着国产刻蚀机得到客户广泛认可。2017 年公司 8 英寸铝金属刻蚀机进入国内主流代工厂生产线,独特的腔室结构和温度 控制设计,可大幅提升了设备的稳定性、重复性和生产工艺水平,打破了国际厂商长期 垄断 8 英寸刻蚀机的局面;同时公司推出 12 英寸 TiN 硬掩膜刻蚀机,可应用于 28- 14nm 逻辑制程中。2016 年自主研发的国内首台应用于 14nm 制程的 ICP 刻蚀机 NMC612D 进入上海集成电路研发中心,正式迈入 14nm 刻蚀工艺。

中微公司刻蚀产品线逐步成熟,从 CCP 向 ICP 快速开拓。中微公司 CCP 刻蚀设备应用 于国际一线客户从 65nm 到 5nm、64 层及 128 层 3D NAND 晶圆产线及先进封装生产 线,中微公司 ICP 刻蚀设备已经趋于成熟,在 10 家客户生产线进行验证,并逐步取得 客户的重复订单。中微公司 CCP 刻蚀设备包括双反应台 Primo AD-RIE 和单反应台的 HD-RIE,覆盖了 65 纳米、45 纳米、32 纳米、28 纳米、22 纳米、14 纳米、7 纳米到 5 纳米关键尺寸的众多刻蚀应用;中微公司的 ICP 设备 Nanova 已经累计交付超过 100 台反应腔,在领先的逻辑芯片、DRAM 和 Nand 厂商产线实现大规模量产。

屹唐股份拥有干法刻蚀设备 paradigmE 系列,采用专有的法拉第屏蔽电感耦合等离子 (ICP) 源与蚀刻偏置控制相结合,设备采取双晶圆反应腔、双反应腔产品平台设计,主 要可用于 65 纳米到 5 纳米逻辑芯片、10 纳米系列 DRAM 芯片以及 32 层到 128 层 3 闪 存芯片制造中若干关键步骤的大规模量产。(报告来源:未来智库)

四、薄膜设备:用于沉积物质,在设备市场占比较高

薄膜生长:采用物理或化学方法使物质附着于衬底材料表面的过程,常见生长物质包 括金属、氧化物、氮化物等不同薄膜。根据工作原理不同,薄膜沉积生长设备可分为:物理气相沉积(PVD)、化学气相沉积(CVD)和外延等类别。PVD 和 CVD 是主要的薄膜设备,ALD 是产业技术发展趋势。在半导体领域,薄膜主要 分给绝缘薄膜、金属薄膜。大部分绝缘薄膜使用 CVD,金属薄膜常用 PVD(主要是溅 射)。其他常用的镀膜方式包括 ECD、SOD、MOCVD、Epitaxy 等。在薄膜设备整体中, CVD 的使用越来越广泛,基于 CVD 发展的 ALD 更是行业升级的技术方向。

CVD:用于沉积介质绝缘层、半导体材料、金属薄膜。典型的 CVD 流程包括气体输入、 气体对流、气象扩散、表面吸附、表面反应、表面脱附及薄膜成核生长。(1)微米时代,化学气相沉积多采用常压化学气相沉积(APCVD)设备,结构简单。(2)亚微米时代,低压化学气相沉积(LPCVD)成为主流,提升薄膜均匀性、沟槽覆 盖填充能力。(3)90nm 以后,等离子增强化学气相沉积(PECVD)扮演重要角色,等离子体作用 下,降低反应温度,提升薄膜纯度,加强薄膜密度。(4)45nm 以后,高介电材料(High k)和金属栅(Metal Gate),引入原子层沉积 (ALD)设备,膜层达到纳米级别。——(a)高介电材料(High k)替代 SiO2,用于 制备 MOS 器件的栅介质层,需要引入 ALD。(b)多晶硅同步地被替代为金属栅(Matal Gate)电极,也用 ALD 设备制备。

物理气相沉积(PVD):利用蒸发或溅射,实现原子从源物质到沉底材料表面的物质转 移,沉积形成薄膜。物理气相沉积是一种物理气相反应生长法,沉积过程是在真空或低 压气体放电条件下,涂层物质源是固态物质,经过蒸发或溅射后,在零件表面生成 与基材性能完全不同的新的固态物质涂层。PVD 具有成膜速率高、镀膜厚度及均匀性可 控好、薄膜致密性好、粘结力强及纯净度高等优点。PVD 可以分为真空蒸镀(Vacuum Evaporator)和溅射(Sputtering)。PVD 发展初 期以真空蒸镀镀膜为主,特点是工艺简单、操作容易、纯度较高,缺点是难以蒸发某些 金属和氧化物。由于溅射设备制备的薄膜更加均匀、致密,对衬底附着性强,纯度更高, 溅射设备取代了蒸镀设备。

2020 年全球薄膜设备市场达到 138 亿美元,占 IC 制造设备 21%;其中主要是 CVD 和 PVD,合计占 IC 制造设备 18%。其中,CVD 市场规模高度 89 亿美元,主流是设 备包括 PECVD、Tube CVD、LPCVD 和 ALD 等。整个薄膜市场市占率最高的是 AMAT。高端领域如 ALD 受 ASM、TEL 和 Lam 等海外龙头主导。国内布局 IC 制造领域薄膜设备 的主要国产厂商包括北方华创和沈阳拓荆。

CVD 市场主要由海外龙头主导,国内北方华创、沈阳拓荆积极布局。根据 Gartner 数 据,全球 CVD 市场前五大供应商包括 AMAT(28%)、Lam Research(25%)、TEL (17%)、Kokusai(原日立高新,8%)、ASM(11%)。国内半导体设备龙头北方华创、 沈阳拓荆在该领域也有布局。

从 PVD 市场格局来看,AMAT 一家独大,长期占据约 80%的市占率。PVD 市场主要 供应商包括 AMAT、ULVAC、Evatec、KLA、TEL、北方华创等。根据 Gartner,2020 年 北方华创的半导体 PVD 设备全球市占率为 3%,属于国内领先地位。随着国产替代加速, 北方华创 PVD 业务有望加速成长。

北方华创在集成电路领域可提供刻蚀机、PVD 设备、单片退火设备、ALD 设备、氧化/ 扩散炉、LPCVD、单片清洗机以及槽式清洗机等产品,覆盖刻蚀、薄膜、扩散、清洗 四大工艺模块,为集成电路领域提供先进的工艺解决方案。由北方华创自主研发的面 向先进制程的等离子硅刻蚀机、金属刻蚀机、TiN hardmask PVD、Al Pad PVD、ALD、 单片退火系统以及 SiNx LPCVD 等已逐步进入集成电路主流代工厂供应链体系。引领国产高端集成电路 PVD 薄膜工艺,公司多项产品进入国际供应链体系。公司 PVD 产品布局广泛,近几年陆续推出了 TiN PVD、AIN PVD、Al Pad、ALD 等 13 款自主研 发的 PVD 产品并成功产业化,可应用于集成电路、先进封装、LED 等领域。公司自主 设计和生产的 exiTin H630 TiN 金属硬掩膜 PVD 系统是国内首台专门针对 55-28nm 制 程 12 寸金属硬掩膜设备。2016 年,公司 28nm/12 英寸晶圆生产的 TiN Hardmask PVD 进入国际供应链体系。2017 年公司紧随市场需求,更新设备工艺,推出适用于 28- 14nm 制程的大马士革工艺的 exiTin H430 TiN Hardmask PVD 系统。

公司产品技术上不断突破,下游导入持续取得新进展:

硬掩板(Had Mask) PVD 应用较为广泛。硬掩膜为金属互连线提供精准控制和 区域处理:硬掩膜工艺就是采用选定的图像、图形或物体对待处理图像(全部或局 部)进行遮挡,来控制图像处理的区域或处理过程,广泛应用于 IC 制备流程的前段 (FEOL)和后段工艺(BEOL)。2015 年,北方华创 TiN PVD 沉积系统获得海外主流 IC 厂订单,并正式进入国际先进IC大厂。由北方华创微电子自主设计和生产的exiTin H630 TiN金属硬掩膜物理气相沉积(Metal hardmask PVD)系统是专门针对 55-28nm 制程 12 寸金属硬掩膜设备。

铝衬垫(Al Pad)PVD 60-28nm 导入客户,更先进制程支持加速验证。芯片器 件用使用 Al Pad PVD 用于其后道金属互联,提供电子信号、微链接等作用。Al Pad 物理气相沉积系统作为集成电路工艺中的一道重要工序,主要应用于 Bond pad 和 Al interconnect 工艺。公司于 2015 年推出 eVictor A830 Al Pad 物理气相沉积系统 (配置 8 个工艺模块,可据客户需求多样化配置)。该设备目前已进入等国内、国 外一线厂商,被应用于 90~28nm 制程产线,更先进制程正加速验证。2018 年北方 华创 Al Pad PVD 成功进驻上海集成电路研发中心。

铜互联(CuBS) PVD 已在客户获得放量订单。金属铜可以降低互连线电阻率, 因此铜互联技术被广泛使用。北方华创是 02 转向14-7nm CuBS 多工艺腔室集成 装备研发及产业化项目执行单位。根据招投标统计,公司铜互联 PVD 已经实现 突破,打破 AMAT 在该领域的垄断,极大打开公司在 PVD 领域的目标市场。

12 英寸氮化硅沉积设备导入下游龙头企业。2020 年 4 月 7 日,北方华创 THEORIS SN302D 型 12 英寸氮化硅沉积设备 Move in 国内集成电路制造龙头企业。该设备的 交付,意味着国产立式 LPCVD 设备在先进集成电路制造领域的应用拓展上实现重 大进展。

12 英寸 ALD 已实现商用。北方华创自 2014 年开始布局 ALD 设备,2017 年推出 量产型单片 ALD 设备并首次交付。公司 Promi 系列 ALD 设备是用加热的方式,通 过在工艺循环周期内分步向真空腔内添加前驱体、实现对膜层厚度的精确控制,可 用于沉积 Al2O3、HfO2、ZrO2、TiO2、TiN、TaN 和 ALN 等多种薄膜。

拓荆科技拥有三个完整系列 CVD 产品线,累计出货量超过 150 台套。拓荆科技成 立于 2010 年 4 月,多次承担国家专项,公司拥有 12 英寸 PECVD(等离子体化学 气相沉积设备)、ALD(原子层薄膜沉积设备)、SACVD(次常压化学气相沉积设备) 三个完整系列产品。沈阳拓荆 2012 年推出 12 英寸多反应腔 PF-300T;2014 年获 得中芯国际首台量产机台 PF-300T 订单;2016 年首台 ALD 出厂到客户端;2017 年 首台 3D Nand PECVD 出厂到客户端;2018 年 12 英寸 ALD 获得客户端 14nm 工艺 验证;截至 2021 年 9 月,公司研发的 PECVD、ALD 及 SACVD 设备系列产品已累 计发货超 150 台,公司技术人员共 318 人,占比达 74.13%。

公司半导体薄膜沉积设备技术指标已达到国际厂商设备水准。公司具体产品包括 等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压化 学气相沉积(SACVD)设备三个系列。在 PECVD 设备领域,公司产品可以适配 180-14nm 逻辑芯片、19/17nmDRAM 等工艺需求,能够兼容 SiO2、SiN 等多种反 应材料;在 ALD 设备领域,公司的 PE-ALD 目前已适配 55-14nm 逻辑芯片制造工 艺需求,可以沉积 SiO2 和 SiN 材料薄膜;在 SACVD 设备领域,公司产品可以沉积 BPSG、SAF 材料薄膜,适配 12 英寸 40/28nm 及 8 英寸 90nm 以上的逻辑芯片工艺 需求。

中微公司研发布局薄膜市场。中微公司持有拓荆科技 8.4%股权,是其第三大股东。根据公司定增公告,中微公司 CVD 研发项目包括 HPCVD、导体薄膜 LPCVD、ALD、 EPI 等设备的开发及工艺应用开发。项目由公司副总裁级主管人员牵头主持,其拥 有 25 年以上的半导体从业经验,在主机平台和 MOCVD 设备上有着丰富的经验。

五、清洗设备:去除晶圆片表面杂质,各制程前后均需使用

清洗机是将晶圆表面上产生的颗粒、有机物、自然氧化层、金属杂质等污染物去除, 以获得所需洁净表面的工艺设备。从工艺应用上来说,清洗机目前已广泛应用于集成电 路制造工艺中的成膜前/成膜后清洗、等离子刻蚀后清洗、离子注入后清洗、化学机械 抛光后的清洗和金属沉积后清洗等各个环节。升级方向:高效且无损。在过去的 25 年中,随着制程升级,晶圆湿法清洗变得越来越 复杂和高效。清洗需要强力有效,还要减少对晶圆表面的损伤。清洁步骤占半导体工艺所有处理步骤 1/3,最多已经达到 200 次。几乎所有制程的前 后都需要清洗环节。

根据 Gartner,2018 年全球清洗设备市场规模为 34.17 亿美元,2019 年受全球半导体 行业景气度下行的影响,下降为 30.49 亿美元。预计 2021 年全球半导体行业复苏,半 导体清洗设备行业将呈现增长趋势,市场规模预计在 2024 年达到 31.9 亿美元。市场份 额来看,日本迪恩士一家份额达到 45%,迪恩士、东京电子、SEMES 三家合计份额超 过 85%。

盛美上海——国内半导体清洗设备龙头。2005 年,美国 ACMR 在上海投资设立公司的 前身盛美有限,并将其前期研发形成的半导体专用设备相关技术使用权投入盛美有限。此后公司在半导体专用设备领域深耕多年,已在半导体专用设备多个细分领域实现突破, 公司的兆声波单片清洗设备、单片槽式组合清洗设备及铜互连电镀工艺设备领域的技术 水平达到国际先进水平,主要产品也得到以海力士、中芯国际、长江存储、长电科技等 为代表的国内外主流半导体厂商的认可。

持续研发投入和技术积累,产品线逐步丰富。公司经过多年持续的研发投入和技术积 累,先后开发出了单片清洗、槽式清洗以及单片槽式组合清洗等清洗设备,用于芯片制 造的前道铜互连电镀设备、后道先进封装电镀设备,以及用于先进封装的湿法刻蚀设备、 涂胶设备、显影设备、去胶设备、无应力抛光设备及立式炉设备等。至纯科技——深耕高纯工艺系统二十余年,国内高纯工艺系统的解决方案供应商。至 纯科技成立于 2000 年,通过 20 多年在行业内的深耕,公司在高纯工艺系统领域已经形 成了较强竞争优势,主要服务于一线集成电路用户,竞争对手也均为国际厂商。在湿法 装备领域,公司近年投入高强度资源进行自主研发,已经具备了湿法工艺全系列的设备。公司主营业务包括高纯工艺系统、半导体湿法清洗设备研发、光传感应用及相关光学元 器件的研发、生产和销售。公司产品包括高纯工艺系统、半导体湿法清洗设备、光纤传感器及光电子元器件、晶 圆再生业务。高纯工艺系统提供精密制造所需的各类高纯介质,系统的前端连接高纯介 质储存装置,系统的终端连接客户自购的工艺生产设备。半导体湿法设备包含湿法槽式 清洗设备及湿法单片式清洗设备,随着制程的升级,晶圆清洗步骤也更加复杂,清洗设 备及工艺也在不断迭代。光纤传感器及光电子元器件方面,是由子公司波汇科技研发、 生产及销售。晶圆再生领域,公司合肥晶圆再生项目基地基础建设完成,晶圆再生和腔 体部件清洗及表面处理项目也已经基本通线待试生产。

半导体设备业务发力,湿法设备持续放量。至纯科技 2021 年湿法设备订单达到 11.2 亿 元,yoy+111.3%。公司自 2015 年开始布局湿法设备,2018 年首次拿到亿元级别订单, 2020 年订单超过 5 亿,2021 年超过 11 亿元,订单的高速增长凸显公司技术实力。公 司在 28nm 节点已获得全部工艺设备订单,在 14nm 以下制程也获得 4 台湿法设备订单。2021 年公司单片湿法设备和槽式湿法设备全年出货超过 97 台。12 英寸湿法设备新增订单金额超过 6 亿元,其中单片式湿法设备新增订单金额超过 3.8 亿元。(报告来源:未来智库)

六、过程控制:制造过程的准确性检

测 过程控制:半导体晶圆制造过程中不同工艺之后,往往需要进行尺寸测量、缺陷检测等, 用于工艺控制、良率管理,要求快速、准确。尺寸测量、缺陷检测等应用于每道制程工 艺之后。IC 量测设备用于工艺控制、良率管理,检测要求快速、准确、非破坏。IC 量 测在发展过程中,在尺寸微缩、复杂 3D、新型材料方面面临各类技术难点,面对诸如 存储、CIS、化合物半导体等不同半导体检测等多种需求不断升级。IC 量测设备的技术 类别包括探针显微镜、扫描/透射电镜、光学显微镜、椭偏/散射仪等,技术发展方向包 括延续现有的非破坏测量技术,电镜方面推进并行电子束技术,散射仪向 EUV、X 射线 延伸以缩小波长,并联合多种测量手段和机器学习实现混合测量等。

尺寸测量:测量关键尺寸(CD critical dimension)、膜厚度(thickness)、应力 (stress)、折射率(refractive index )、阶梯覆盖(step coverage)、接触角度 (contact-angle)… 无图形缺陷检测:颗粒(particle)、残留物(residue)、刮伤(scratch)、警惕原生凹 坑(COP)等等。有图形缺陷检测:短线(break)、线边缺陷(bite)、桥接(bridge)、线形变化 (Deformation)等等。

过程控制在 IC 制造设备占比约 11~13%,持续有升级需求。2020 年全球过程控制设 备市场空间约 73 亿美元,其中光刻相关(套刻误差量测、掩膜板测量及检测等)相关 需求约 20 亿美元、缺陷检测需求约 39 亿美元、膜厚测量需求约 11 亿美元。过程控制 市场中在全球市场比例基本维持在 11~13%之间,相对稳定,随着制程微缩、3D 堆叠 推进,晶圆制造对于量测、检测需求不断增加,精度要求也不断提高,过程控制设备持 续有升级需求。

全球过程控制市场主要由海外龙头 KLA 主导。根据 SEMI,全球过程控制主要赛道由海 外厂商主导并垄断,KLA 在大多细分领域具有明显优势,此外 AMAT、ASML、Nova、 Hitachi 也有所布局。国内公司上海精测、睿励科学、中科飞测、赛腾股份等主要布局。

上海精测增资加速布局,聚焦半导体前道测试设备。上海精测成立于 2018年 7 月, 主要布局半导体前道测试,以椭圆偏振技术为核心开发了适用于半导体工业级应用的 膜厚测量以及光学关键尺寸测量系统。上海精测半导体技术有限公司常务副总经理马 骏,原任天马微电子助理总经理。在 2019 年 9 月增资 5.5 亿的公告计划中,马骏认 缴出资额 2500 万元,与上海精测高度绑定。2020 年 12 月底,公司定增再次增资 上海精测,增资完成后,上海精测注册资本将由 7.5 亿元增加至 13.7 亿元。

上海精测全面布局膜厚及 OCD 检测、SEM 检测等技术方向。公司产品规划路径清晰, 技术覆盖面齐全。在膜厚方面,上海精测已经推出了膜厚检测设备、OCD 检测设备等 多款半导体测量设备。技术演进路径从膜厚检测的 EFILM 200UF 到 EFILM 300IM,再 到 EFILM 300SS/DS,再到 OCD 测量的 EPROFILE 300FD,功能更加丰富,精密度逐渐 提高。在电子光学 SEM 检测方向,公司已于 2020 年底交付首台电子束检测设备、2021 年交付首台 OCD 设备。

首款半导体电子束检测设备 2020 年底正式交付。随集成电路工艺节点推进,光学缺陷 检测设备已无法满足大规模生产和先进制程开发需求。上海精测从自主研发的电子束检 测设备 eViewTM 全自动晶圆缺陷复查设备,采用了扫描电子显微镜技术,具有超高分辨 率,可用于 10x nm 及以下集成电路制程的工艺缺陷自动检测。此外,设备搭载可自主 开发的基于深度神经网络的 AI 算法,提升缺陷分类准确度;运用全新超低压 EDSX射线 探测技术,实现轻量元素高分辨率解析。这一设备也是国内首台拥有完全自主知识产权 的半导体前道检测设备。

2021 年,公司出货国内首台 OCD 设备。2021 年 7 月 13 日,公司首台 12 寸独立式光 学线宽测量设备(OCD)与国内唯一 12 寸全自动电子束晶圆缺陷复查设备(Review SEM)顺利出机。12 寸独立式光学线宽测量机台(OCD)是该类型的国内首台机台,主要 用于 45nm 以下、特别是 28nm 平面 CMOS 工艺的量测,并可以延伸支持上述先进工艺 节点的快速线宽测量。EPROFILE 300FD 测量系统拥有完全自主知识产权,包括宽谱全 穆勒椭偏测头、对焦对位系统、系统软件等核心零部件均为自主研发,是真正意义上的 高端国产化机台。

睿励科学成立于 2005 年,专注于半导体量测检测设备。睿励的主营产品为光学膜厚测 量设备和光学缺陷检测设备,以及硅片厚度及翘曲测量设备等。睿励自主研发的 12 英 寸光学测量设备 TFX3000系列产品,已应用在 65/55/40/28纳米芯片生产线并在进行了 14 纳米工艺验证,在 3D 存储芯片产线支持 64 层 3DNAND 芯片的生产,并正在验证 96 层 3DNAND 芯片的测量性能。2021 年 3 月,睿励获得中微公司 1 亿元投资,其他股 东包括浦东科创、张江科投、国家大基金、上海创投、上海国盛等一众知名产业投资 机构。

2021 年 4 月 18 日,睿励首台自主研发的高精度光学缺陷检测设备(WSD200)装箱出 货,交付国内知名客户,这是睿励研发的光学缺陷检测设备进入集成电路晶圆缺陷检测 市场的重大突破。2021 年 6 月,公司自主研发的第三代光学膜厚测量设备 TFX4000i 交付设备。相对于早 已实现批量生产的 TFX3000P,TFX4000i 延续使用了与 TFX3000P 相同的主框架及软件 架构,最大程度保持了二代产品的优良测量性能和可靠性,同时 TFX4000i 新增加了反 射测量模块和深紫外测量模块,具有更宽的光谱范围,涵盖了更广泛的工艺段应用,可 以满足更先进的工艺要求。

中科飞测总部位于深圳龙华区,自主研发针对生产质量控制的世界领先的光学检测技 术,以工业智能检测设备为核心产品。公司最具代表的产品和服务有:三维形貌量测系 统 SKYVERSE-900 系列,表面缺陷检测系统 SPRUCE 系列,智能视觉缺陷检测系统 BIRCH 系列,3C 电子行业精密加工玻璃手机外壳检测系统 TOTARA 系列,公司产品已 经获得国内多家顶尖先进封装厂商的设备验收及批量订单,填补了国内集成电路先进封 装检测设备在高端市场的空白。

■ 声明|部分素材源自网络,如涉侵权,请联系我们处理。任何问题,请与主编沟通,微信:Mr_youliao.返回搜狐,查看更多

责任编辑: